<ruby id="bdb3f"></ruby>

    <p id="bdb3f"><cite id="bdb3f"></cite></p>

      <p id="bdb3f"><cite id="bdb3f"><th id="bdb3f"></th></cite></p><p id="bdb3f"></p>
        <p id="bdb3f"><cite id="bdb3f"></cite></p>

          <pre id="bdb3f"></pre>
          <pre id="bdb3f"><del id="bdb3f"><thead id="bdb3f"></thead></del></pre>

          <ruby id="bdb3f"><mark id="bdb3f"></mark></ruby><ruby id="bdb3f"></ruby>
          <pre id="bdb3f"><pre id="bdb3f"><mark id="bdb3f"></mark></pre></pre><output id="bdb3f"></output><p id="bdb3f"></p><p id="bdb3f"></p>

          <pre id="bdb3f"><del id="bdb3f"><progress id="bdb3f"></progress></del></pre>

                <ruby id="bdb3f"></ruby>

                ThinkChat2.0新版上線,更智能更精彩,支持會話、畫圖、視頻、閱讀、搜索等,送10W Token,即刻開啟你的AI之旅 廣告
                [TOC] ## 前言 ` `初學verilog,你是否還在為了安裝體積龐大的IDE,還屢屢失敗,讓自己學習verilog的心涼了半截?在這里根據我自己的使用習慣,推薦使用linux+iverilog+vscode+gtkwave來搭建一個輕量的verilog仿真環境。 ## 優勢 雖然iverilog只能仿真,但是仿真速度很快,對于比較小的模塊或者中型項目的驗證,完全可以使用iverilog進行前期開發,以提高開發效率。 * 輕量 * 免費 * 環境配置簡單 * 在本模板上易用 ## 軟件安裝 ` `對于deepin或者ubuntu用戶可以按下面方式安裝: ``` sudo apt-get install iverilog sudo apt-get install gtkwave ``` ` `安裝vscode最新版可以訪問官網https://code.visualstudio.com/,下載.deb安裝包進行安裝。 ![](https://img.kancloud.cn/32/c6/32c62e5ffef8eb4d7f91266d888ab4ef_1365x767.png) deepin用戶可以直接雙擊.deb進行安裝。 ` `當然vscode只是一個編輯器,可以不安裝,可以使用其他編輯器。 ## iverilog工程模板 [模板鏈接](https://gitee.com/yuan_hp/iverilog_module) ` `個人根據使用,準備了一個iverilog的工作模板,這個項目要運行需要linux環境中安裝有bash。 ## 模板使用 * git clone https://gitee.com/yuan_hp/iverilog_module.git * cd iverilog_module * ./main * 根據提示選擇需要仿真的項目 ![](https://img.kancloud.cn/13/70/137029b58c91c11fc8bf4b257517763d_605x215.png) ![](https://img.kancloud.cn/5b/d1/5bd1582f15d843891616bcdc89c3bfea_1025x513.png) ## run腳本方式使用 例如我們要仿真crc7,crc7所在路徑為./module/crc ,則使用方法為 * cd iverilog_module * 執行腳本 `./run -d ./module/crc` * 這樣項目就會開始仿真 ![](https://img.kancloud.cn/fe/af/feaf3c08b0060a9d575fe485bd464af8_1365x739.png) 終端輸入`./run -h`顯示幫助 ![](https://img.kancloud.cn/f4/7d/f47d1f94976f5f6179289e1850a06441_555x153.png) ## 新建測試項目 ` `新建項目時,在 module 文件夾下建立一個需要仿真的文件夾,并在里面寫好testbech和其他.v文件,testbech中有幾個地方的內容是不能動的!如下: ![](https://img.kancloud.cn/74/be/74bed59e9b2ecd842d7906548d8893fb_687x467.png) ` `經過測試,簡單的工程基本1s就能完成仿真! ` `run腳本還提供了單個.v文件編譯仿真的功能,比如有dis.v文件內容如下: ``` module dis; integer fd,file_id ,seed; localparam DELAY = 300; initial begin $display("hello,verilog!"); fd=0; $display("fd=%0d",fd); fd = fd + 2; $display("fd=%0d",fd); fd = fd * 2; $display("fd=%0d",fd); fd = fd % 3; $display("fd=%0d",fd); fd = fd / 2; $display("fd=%0d",fd); seed=$time; fd= $random(seed) % 1000; $display("fd=%0d",fd); #DELAY $display("simulation time is %t",$time); end endmodule ``` 使用命令`./run -f dis.v`即可查看結果,簡單快捷! ![](https://img.kancloud.cn/6a/db/6adbc4f2dfd1abce37e7f83d7027344b_679x262.png) ## 模板其他說明 ` `其他說明查看gitee上的說明! [說明鏈接](https://gitee.com/yuan_hp/iverilog_module)
                  <ruby id="bdb3f"></ruby>

                  <p id="bdb3f"><cite id="bdb3f"></cite></p>

                    <p id="bdb3f"><cite id="bdb3f"><th id="bdb3f"></th></cite></p><p id="bdb3f"></p>
                      <p id="bdb3f"><cite id="bdb3f"></cite></p>

                        <pre id="bdb3f"></pre>
                        <pre id="bdb3f"><del id="bdb3f"><thead id="bdb3f"></thead></del></pre>

                        <ruby id="bdb3f"><mark id="bdb3f"></mark></ruby><ruby id="bdb3f"></ruby>
                        <pre id="bdb3f"><pre id="bdb3f"><mark id="bdb3f"></mark></pre></pre><output id="bdb3f"></output><p id="bdb3f"></p><p id="bdb3f"></p>

                        <pre id="bdb3f"><del id="bdb3f"><progress id="bdb3f"></progress></del></pre>

                              <ruby id="bdb3f"></ruby>

                              哎呀哎呀视频在线观看